Our new beta dashboard is now available for testing! Try viewing this build on the new dashboard.
- Completed Dec. 16, 2019. 6:42 a.m.
- Build took 43 seconds
Error
{# I'd like to use ``build.error|urlize`` here, so we can have nice links. However, this is not possible because we are using `data-bind="text: error"` which means that Knockout.js will use the `.error` attribute to fill the content of this tag dynamically. #} Command git submodule update --init --force --recursive source/prjtrellis source/symbiflow-arch-defs source/prjxray source/fasm source/vtr-verilog-to-routing failed: Submodule 'source/fasm' (https://github.com/SymbiFlow/fasm) registered for path 'source/fasm' Submodule 'source/prjtrellis' (https://github.com/SymbiFlow/prjtrellis) registered for path 'source/prjtrellis' Submodule 'source/prjxray' (https://github.com/SymbiFlow/prjxray) registered for path 'source/prjxray' Submodule 'source/symbiflow-arch-defs' (https://github.com/SymbiFlow/symbiflow-arch-defs) registered for path 'source/symbiflow-arch-defs' Submodule 'source/vtr-verilog-to-routing' (https://github.com/SymbiFlow/vtr-verilog-to-routing) registered for path 'source/vtr-verilog-to-routing' Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/fasm'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjtrellis'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/vtr-verilog-to-routing'... Submodule path 'source/fasm': checked out 'b8db36518534a7c204f80d785a893055258205cb' Submodule path 'source/prjtrellis': checked out '4e0b21597774084fca16762d5f5d88573420f1bf' Submodule 'database' (https://github.com/SymbiFlow/prjtrellis-db) registered for path 'source/prjtrellis/database' Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjtrellis/database'... Submodule path 'source/prjtrellis/database': checked out 'e3a1751355910c7ac0b3535e20264a6022381a87' Submodule path 'source/prjxray': checked out 'b29742ba73d7b808fbc5639efedb73904850fda9' Submodule 'third_party/abseil-cpp' (https://github.com/abseil/abseil-cpp) registered for path 'source/prjxray/third_party/abseil-cpp' Submodule 'third_party/cctz' (https://github.com/google/cctz) registered for path 'source/prjxray/third_party/cctz' Submodule 'third_party/fasm' (https://github.com/SymbiFlow/fasm.git) registered for path 'source/prjxray/third_party/fasm' Submodule 'third_party/gflags' (https://github.com/gflags/gflags) registered for path 'source/prjxray/third_party/gflags' Submodule 'third_party/googletest' (https://github.com/google/googletest) registered for path 'source/prjxray/third_party/googletest' Submodule 'third_party/python-sdf-timing' (https://github.com/SymbiFlow/python-sdf-timing.git) registered for path 'source/prjxray/third_party/python-sdf-timing' Submodule 'third_party/sanitizers-cmake' (https://github.com/arsenm/sanitizers-cmake.git) registered for path 'source/prjxray/third_party/sanitizers-cmake' Submodule 'third_party/yaml-cpp' (https://github.com/jbeder/yaml-cpp.git) registered for path 'source/prjxray/third_party/yaml-cpp' Submodule 'third_party/yosys' (https://github.com/YosysHQ/yosys) registered for path 'source/prjxray/third_party/yosys' Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/abseil-cpp'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/cctz'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/fasm'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/gflags'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/googletest'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/python-sdf-timing'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/sanitizers-cmake'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/yaml-cpp'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/yosys'... Submodule path 'source/prjxray/third_party/abseil-cpp': checked out '77f87009a34c745255bd84d8f2647040d831a2b3' Submodule path 'source/prjxray/third_party/cctz': checked out 'a26bc5f285a736a05cb974e063bfa26b33f07c78' Submodule path 'source/prjxray/third_party/fasm': checked out 'b8db36518534a7c204f80d785a893055258205cb' Submodule path 'source/prjxray/third_party/gflags': checked out 'd9b184bd0026b16bb4c2fded75d56fb2cce50d66' Submodule 'doc' (https://github.com/gflags/gflags.git) registered for path 'source/prjxray/third_party/gflags/doc' Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/prjxray/third_party/gflags/doc'... Submodule path 'source/prjxray/third_party/gflags/doc': checked out '8411df715cf522606e3b1aca386ddfc0b63d34b4' Submodule path 'source/prjxray/third_party/googletest': checked out '78fdd6c00b8fa5dd67066fbb796affc87ba0e075' Submodule path 'source/prjxray/third_party/python-sdf-timing': checked out 'f6e72dd645010f7c38c57176e635caac699f427b' Submodule path 'source/prjxray/third_party/sanitizers-cmake': checked out '99e159ec9bc8dd362b08d18436bd40ff0648417b' Submodule path 'source/prjxray/third_party/yaml-cpp': checked out 'a8ba6a8dca0b9a7ca8fd56d804e45cf5a8270f87' Submodule path 'source/prjxray/third_party/yosys': checked out 'c03b6a3e9cab9fc05b2d5b256676f5ddc6c2d763' Submodule path 'source/symbiflow-arch-defs': checked out 'd3287f575b8116260507738e57a1be2962cf8d41' Submodule 'third_party/fasm' (https://github.com/SymbiFlow/fasm.git) registered for path 'source/symbiflow-arch-defs/third_party/fasm' Submodule 'third_party/icestorm' (https://github.com/cliffordwolf/icestorm.git) registered for path 'source/symbiflow-arch-defs/third_party/icestorm' Submodule 'third_party/netlistsvg' (https://github.com/nturley/netlistsvg.git) registered for path 'source/symbiflow-arch-defs/third_party/netlistsvg' Submodule 'third_party/prjxray' (https://github.com/SymbiFlow/prjxray.git) registered for path 'source/symbiflow-arch-defs/third_party/prjxray' Submodule 'third_party/prjxray-db' (https://github.com/SymbiFlow/prjxray-db.git) registered for path 'source/symbiflow-arch-defs/third_party/prjxray-db' Submodule 'third_party/python-sdf-timing' (https://github.com/SymbiFlow/python-sdf-timing.git) registered for path 'source/symbiflow-arch-defs/third_party/python-sdf-timing' Submodule 'third_party/symbiyosys' (https://github.com/YosysHQ/SymbiYosys.git) registered for path 'source/symbiflow-arch-defs/third_party/symbiyosys' Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/fasm'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/icestorm'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/netlistsvg'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/python-sdf-timing'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/symbiyosys'... Submodule path 'source/symbiflow-arch-defs/third_party/fasm': checked out 'b8db36518534a7c204f80d785a893055258205cb' Submodule path 'source/symbiflow-arch-defs/third_party/icestorm': checked out '0ec00d892a91cc68e45479b46161f649caea2933' Submodule path 'source/symbiflow-arch-defs/third_party/netlistsvg': checked out '850b0a85aa4ec454807981a207126023c092ab63' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray': checked out 'bfe24fc4867b12f534b1aa46b09dc0343dace3e2' Submodule 'third_party/abseil-cpp' (https://github.com/abseil/abseil-cpp) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/abseil-cpp' Submodule 'third_party/cctz' (https://github.com/google/cctz) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/cctz' Submodule 'third_party/fasm' (https://github.com/SymbiFlow/fasm.git) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/fasm' Submodule 'third_party/gflags' (https://github.com/gflags/gflags) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/gflags' Submodule 'third_party/googletest' (https://github.com/google/googletest) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/googletest' Submodule 'third_party/python-sdf-timing' (https://github.com/SymbiFlow/python-sdf-timing.git) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/python-sdf-timing' Submodule 'third_party/sanitizers-cmake' (https://github.com/arsenm/sanitizers-cmake.git) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/sanitizers-cmake' Submodule 'third_party/yaml-cpp' (https://github.com/jbeder/yaml-cpp.git) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/yaml-cpp' Submodule 'third_party/yosys' (https://github.com/YosysHQ/yosys) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/yosys' Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray/third_party/abseil-cpp'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray/third_party/cctz'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray/third_party/fasm'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray/third_party/gflags'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray/third_party/googletest'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray/third_party/python-sdf-timing'... Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray/third_party/yaml-cpp'... Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/abseil-cpp': checked out '77f87009a34c745255bd84d8f2647040d831a2b3' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/cctz': checked out 'a26bc5f285a736a05cb974e063bfa26b33f07c78' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/fasm': checked out 'b8db36518534a7c204f80d785a893055258205cb' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/gflags': checked out 'd9b184bd0026b16bb4c2fded75d56fb2cce50d66' Submodule 'doc' (https://github.com/gflags/gflags.git) registered for path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/gflags/doc' Cloning into '/home/docs/checkouts/readthedocs.org/user_builds/symbiflow/checkouts/latest/source/symbiflow-arch-defs/third_party/prjxray/third_party/gflags/doc'... Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/gflags/doc': checked out '8411df715cf522606e3b1aca386ddfc0b63d34b4' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/googletest': checked out '78fdd6c00b8fa5dd67066fbb796affc87ba0e075' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/python-sdf-timing': checked out 'f6e72dd645010f7c38c57176e635caac699f427b' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/sanitizers-cmake': checked out '99e159ec9bc8dd362b08d18436bd40ff0648417b' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/yaml-cpp': checked out 'a8ba6a8dca0b9a7ca8fd56d804e45cf5a8270f87' fatal: Needed a single revision Unable to find current revision in submodule path 'source/symbiflow-arch-defs/third_party/prjxray/third_party/yosys' Submodule path 'source/symbiflow-arch-defs/third_party/prjxray-db': checked out 'd0e4646dcb9ced4ea3e86dbb7593d9dcfea3e0b2' Submodule path 'source/symbiflow-arch-defs/third_party/python-sdf-timing': checked out 'f6e72dd645010f7c38c57176e635caac699f427b' Submodule path 'source/symbiflow-arch-defs/third_party/symbiyosys': checked out '23f89011b678daa9da406d4f45f790e45f8f68ca' Failed to recurse into submodule path 'source/symbiflow-arch-defs/third_party/prjxray' Submodule path 'source/vtr-verilog-to-routing': checked out '7ffafa4e0847f8619b6e650dee62494dade210b5' Failed to recurse into submodule path 'source/symbiflow-arch-defs'