Versions

Repository

https://github.com/Paebbels/JSON-for-VHDL.git

Project Slug

json-for-vhdl

Last Built

5 years, 11 months ago passed

Maintainers

Badge

Tags

fpga, json, library, package, parser, simulation, synthesis, testbench, vhdl

Short URLs

json-for-vhdl.readthedocs.io
json-for-vhdl.rtfd.io

Default Version

latest

'latest' Version

master