Versions

Description

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

Repository

https://github.com/cocotb/cocotb

Project Slug

cocotb

Last Built

1 day, 19 hours ago passed

Maintainers

Home Page

https://www.cocotb.org

Badge

Tags

python, rtl, uvm, verification, verilog, vhdl

Short URLs

cocotb.readthedocs.io
cocotb.rtfd.io

Default Version

stable

'latest' Version

master